| 2005/07/11 | |
| K.I | |
USBで使いたいということなので、 ナヒテックのSmartJTAGというのを使ってみることにした。
Nahitech SmartJTAG cable open successfully.
EZUSB-FX2:bulk IN failed
思い込みで確認が足りなかったなぁ。。情けない。。。
DWM基板に、SmartJTAGを接続する。
ということで、以下のように配線した。
早速、JTAG接続してみよう。
Device index file format is obsolete.[@MANUFACTURE ARM]
Error: Device list file is not found
warning:device 'unknown' not found in device database
:
Some error has occured in initialization of device algorithm 'algxcfs'
View: TOP VIEW
Username:
Partname: unknown
Pkgtype:
State: RUN (normal operation)
Data reg: Bypass register
これは、 BSDLファイルというデバイスのピンやパッケージの情報のファイルを読み込む必要がある為らしい。
Chain→ Detectした状態だと、デバイスは完全には設定されていない。
DWM基板上には、赤と緑のチップLEDが実装済みだ。
タクトスイッチで、LEDを点灯させてみよう。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity dwm0501 is
Port ( LED_G : out std_logic;
LED_R : out std_logic;
SWIN : in std_logic);
end dwm0501;
architecture Behavioral of dwm0501 is
begin
LED_R <= SWIN; → 追加したのは
LED_G <= not SWIN; → この2行分だけ
end Behavioral;
| I/O Name | I/O Direction | Loc | Bank | I/O Std. |
|---|---|---|---|---|
| LED_G | Output | P47 | BANK4 | |
| LED_R | Output | P49 | BANK4 | |
| SWIN | Input | P50 | BANK4 |
NET "LED_G" LOC = "P47" ;
NET "LED_R" LOC = "P49" ;
NET "SWIN" LOC = "P50" ;
書き込みのための、bitファイルを作成する。MITOUJTAGで書き込むので MSKファイルは作らなくても良いかも。。
ここで、MITOUJTAGを起動、
コンフィグROMに書き込むために、普通はMCSファイルを作成する。 でも、MITOUJTAGで書き込むので、bitファイルを作れば良い。
FPGA StartupClockをCCLKにする以外は、FPGAと全く同じ手順になる。
ここで、MITOUJTAGを起動、
MITOUJTAGは、FPGAの書き込み器として便利に使えることが分かったが、 本来の機能はこれだけじゃない。
これもスピード的には速くないが、 デバッグ用に配線することを考えると夢のような機能だ。