Device index file format is obsolete.[@MANUFACTURE ARM]
Error: Device list file is not found
warning:device 'unknown' not found in device database
:
Some error has occured in initialization of device algorithm 'algxcfs'
TDIとTDOの間に2つの灰色のデバイスが接続された図が現れたが、
いずれも、以下のような表示になっていてデバイスを認識しない。
View: TOP VIEW
Username:
Partname: unknown
Pkgtype:
State: RUN (normal operation)
Data reg: Bypass register
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity dwm0501 is
Port ( LED_G : out std_logic;
LED_R : out std_logic;
SWIN : in std_logic);
end dwm0501;
architecture Behavioral of dwm0501 is
begin
LED_R <= SWIN; → 追加したのは
LED_G <= not SWIN; → この2行分だけ
end Behavioral;